Cadence Delivers Comprehensive Low-Power Design Flow for 65-nm Process at SMIC

Cadence Design Systems, Inc. (NASDAQ: CDNS), the leader in global electronic design innovation, today announced that it has delivered a comprehensive low-power design flow for engineers targeting the 65-nanometer process at Semiconductor Manufacturing International Corporation ("SMIC") (NYSE: SMI) (SEHK: 0981.HK). Based on the Cadence® Low-Power Solution, the flow enables faster design of leading-edge, low-power semiconductors using a single, comprehensive design platform.

"Power is now a critical design constraint, as important as timing and area from both a technology and cost standpoint," said Max Liu, vice president of the Design Services Center at SMIC. "The SMIC-Cadence Reference Flow 4.0 addresses the need for power-efficient design innovation with an advanced, automated low-power design capability."

Validation of the flow was accomplished through implementation of low-power chips utilizing SMIC's in-house-designed 65-nanometer libraries, including effective current source model (ECSM) standard cells, power management cells, PLLs, SRAMs and I/O libraries. Low-power technologies employed in the design include power gating and multi-supply/multi-voltage (MSMV) techniques to reduce leakage and dynamic power consumption.

"Power efficiency is a key requirement for many new semiconductors, yet designers sometimes think it's too new and therefore too risky," said Steve Carlson, vice president of product marketing at Cadence. "The Cadence Low-Power Solution provides a complete, silicon-validated front-to-back flow for designers targeting SMIC's 65-nanometer process technology, including functional and structural verification, while increasing productivity. It's fast, easy and proven."

The SMIC 65-nanometer low-power Reference Flow 4.0 includes the Cadence Low-Power Solution, with Encounter® Conformal® Low Power, Incisive® Enterprise Simulator, Encounter RTL Compiler, Encounter Digital Implementation System, Cadence QRC Extraction, Encounter Timing System and Encounter Power System.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Cadence Design Systems. (2019, March 18). Cadence Delivers Comprehensive Low-Power Design Flow for 65-nm Process at SMIC. AZoNano. Retrieved on April 16, 2024 from https://www.azonano.com/news.aspx?newsID=14383.

  • MLA

    Cadence Design Systems. "Cadence Delivers Comprehensive Low-Power Design Flow for 65-nm Process at SMIC". AZoNano. 16 April 2024. <https://www.azonano.com/news.aspx?newsID=14383>.

  • Chicago

    Cadence Design Systems. "Cadence Delivers Comprehensive Low-Power Design Flow for 65-nm Process at SMIC". AZoNano. https://www.azonano.com/news.aspx?newsID=14383. (accessed April 16, 2024).

  • Harvard

    Cadence Design Systems. 2019. Cadence Delivers Comprehensive Low-Power Design Flow for 65-nm Process at SMIC. AZoNano, viewed 16 April 2024, https://www.azonano.com/news.aspx?newsID=14383.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.