eBeam's Advancements in Maskless Lithography to be Presented at SPIE Symposium

The eBeam Initiative, a forum dedicated to the education and promotion of a new design-to-manufacturing approach known as design for e-beam (DFEB), today announced that several of its members will present the latest breakthroughs in DFEB mask and direct write technology at the Annual SPIE Advanced Lithography Symposium 2011.

In the area of DFEB mask, for example, the collaborative results demonstrate the effectiveness of DFEB technology in solving the dilemma of impossible mask write times and wafer quality at the 22-nm node where logic devices will require complex masks in order to achieve acceptable wafer performance and quality. DFEB mask technology utilizes the breakthrough idea of overlapping e-beam shots to make cost-effective optical lithography for the 22-nm node possible. The SPIE Advanced Lithography Symposium will be held February 27-March 3 at the San Jose Convention Center, San Jose, Calif.

The eBeam Initiative also announced today that four additional companies have joined its ranks. These new members—Artwork Conversion, Grenon Consulting, NCS and Xilinx—strengthen the ecosystem that is critical to supporting the commercialization of DFEB technology.

Aki Fujimura, CEO of D2S and managing sponsor of the eBeam Initiative, said, "We welcome our newest members and thank eBeam Initiative members who are collaborating to make progress on the DFEB mask and direct write roadmaps. At SPIE, members are validating the manufacturability of writing complex masks using overlapping e-beam shots at 22 nm and enhancing throughput of e-beam direct write machines for maskless lithography. We're pleased to see the continued investment in character projection e-beam machines for cost-effective, derivative and prototype designs."

At the SPIE Advanced Lithography Symposium, eBeam Initiative members will present the following papers or posters:

March 1

  • 8:15 a.m., Alternative Lithographic Technologies III Conference, Session 1: Keynote — "A comparison of maskless technologies" presented by Aki Fujimura, CEO of D2S, managing sponsor of the eBeam Initiative

  • 1:50 p.m., Alternative Lithographic Technologies III Conference, Session 3: Maskless Lithography I — "MCC8: Throughput enhancement of EB direct writer" presented by Advantest

  • 2:50 p.m., Alternative Lithographic Technologies III Conference, Session 3: Maskless Lithography I — "Multishaped Beam: Development status and update on lithography results" presented by Vistec Electron Beam GmbH

March 2

  • 5:20 p.m., Alternative Lithographic Technologies III Conference, Session 8: Maskless Lithography II — "Model-based mask data preparation (MB-MDP) and impact on resist heating" presented by D2S and NuFlare Technology

  • 6:00-8:00 p.m., Optical Microlithography XXIV Conference, Poster Session: Mask/Wafer Topography, Layout, and OPC — "Mask data correction methodology in the context of MB-MDP and advanced mask models" presented by D2S and IC Images Technologies

March 3

  • 11:50 a.m., Alternative Lithographic Technologies III Conference, Session 10: Maskless Lithography III — "Fast characterization of line-end shortening and application of novel LES correction algorithms in e-beam direct write" presented by EQUIcon, Fraunhofer-CNT and Vistec Electron Beam GmbH

Source: http://www.ebeam.org/

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Vistec Electron Beam. (2019, February 12). eBeam's Advancements in Maskless Lithography to be Presented at SPIE Symposium. AZoNano. Retrieved on April 25, 2024 from https://www.azonano.com/news.aspx?newsID=21730.

  • MLA

    Vistec Electron Beam. "eBeam's Advancements in Maskless Lithography to be Presented at SPIE Symposium". AZoNano. 25 April 2024. <https://www.azonano.com/news.aspx?newsID=21730>.

  • Chicago

    Vistec Electron Beam. "eBeam's Advancements in Maskless Lithography to be Presented at SPIE Symposium". AZoNano. https://www.azonano.com/news.aspx?newsID=21730. (accessed April 25, 2024).

  • Harvard

    Vistec Electron Beam. 2019. eBeam's Advancements in Maskless Lithography to be Presented at SPIE Symposium. AZoNano, viewed 25 April 2024, https://www.azonano.com/news.aspx?newsID=21730.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.