eBeam Initiative Members Present Wafer Yield Improvement Results at Photomask Japan

Members of eBeam Initiative, a forum to educate and promote new semiconductor production techniques using electron beam (eBeam) technologies, will exhibit the latest eBeam technology-based advancements to enhance wafer yields and photomask critical dimension uniformity (CDU) at Photomask Japan (PMJ) 2012.

The PMJ 2012 is the 19th international symposium covering latest developments in photomasks and next-generation lithography masks. The symposium is being conducted at the Pacifico Yokohama in Japan. Among the breakthroughs to be presented, the eBeam Initiative will emphasize HOYA’s achievement in enhancing mask quality with reduction in shot count through the application of model-based mask data preparation (MB-MDP) on a production mask writer.

GLOBALFOUNDRIES will demonstrate the effect of MB-MDP on wafer simulation by presenting the results of mask-wafer double-simulation. The company will highlight how MB-MDP enables inverse lithography to realize wafer yield improvement. Moreover, shape-dependent-mask CDU has become a critical parameter affecting wafer yield. HOYA will show the effect of the latest eBeam technologies like overlapping variable-shaped beam shots and MB-MDP on mask fidelity, shot count, and stability to manufacturing variation.

HOYA in partnership with fellow members JEOL and D2S has evaluated eBeam technologies to improve mask quality so as to meet its customers’ complicated mask requirements. D2S’ Chief Executive Officer, Aki Fujimura explained that at £ 20 nm logic nodes, mask assist features and certain pieces of the main mask features have a width below 80 nm, thus increasing the difficulty to maintain mask accuracy, which in turn impacts wafer yield negatively. New developments in semiconductor production increase the value and significance of eBeam technologies to handle the difficulties related to shot count, mask accuracy, and production expenditures.

Source: http://www.ebeam.org/

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Chai, Cameron. (2019, February 12). eBeam Initiative Members Present Wafer Yield Improvement Results at Photomask Japan. AZoNano. Retrieved on April 20, 2024 from https://www.azonano.com/news.aspx?newsID=24678.

  • MLA

    Chai, Cameron. "eBeam Initiative Members Present Wafer Yield Improvement Results at Photomask Japan". AZoNano. 20 April 2024. <https://www.azonano.com/news.aspx?newsID=24678>.

  • Chicago

    Chai, Cameron. "eBeam Initiative Members Present Wafer Yield Improvement Results at Photomask Japan". AZoNano. https://www.azonano.com/news.aspx?newsID=24678. (accessed April 20, 2024).

  • Harvard

    Chai, Cameron. 2019. eBeam Initiative Members Present Wafer Yield Improvement Results at Photomask Japan. AZoNano, viewed 20 April 2024, https://www.azonano.com/news.aspx?newsID=24678.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.