STMicroelectronics Offers 28nm Fully-Depleted-Silicon-On-Insulator Technology Platform

STMicroelectronics, a global semiconductor leader serving customers across the spectrum of electronics applications, announced today another step towards the availability of its 28nm FD-SOI Technology Platform, now open for pre-production from its Crolles (France) 300mm manufacturing facility.

The announcement confirms ST's ability to provide its planar fully-depleted technology from the 28nm technology node, essential in quenching the market's appetite for embedded processors in multimedia and portable applications that can meet the industry's highest performance and lowest power demands vital to deliver all the stunning graphics, multimedia and high-speed broadband connectivity without sacrificing battery life.

The announcement coincides with a workshop on fully-depleted-silicon-on-insulator (FD-SOI) technologies held by the FD-SOI Consortium in San Francisco.

The FD-SOI Technology Platform encompasses the availability of a feature-complete and silicon-verified Design Platform, including the full set of foundation libraries (std-cells, memory generators, I/Os), AMS IPs and high speed interfaces), and a design flow ideally suited for developing high-speed and energy-efficient devices.

ST's FD-SOI technology has already been selected by ST-Ericsson for use in its future mobile platforms, which will enable enhanced performance with significantly lower power consumption than conventional technologies.

"ST has a long history in pioneering new solutions in both product and technology. By bringing FD-SOI technology to manufacturing readiness, ST is again positioning itself as an innovator and leader in semiconductor technology development and manufacturing," said Jean-Marc Chery, Executive Vice President, General Manager Digital Sector, and Chief Technology and Manufacturing Officer of STMicroelectronics. "Post-processing wafer testing has allowed us to prove the significant performance and power advantages of FD-SOI over conventional technologies, building a cost-effective industrial solution that is available from the 28nm node. Measurements on a multi-core subsystem in an ST-Ericsson NovaThor ModAp platform, with a maximum frequency exceeding 2.5Ghz and delivering 800 MHz at 0.6V, are confirming expectations and demonstrating the great flexibility of the technology and the extended voltage range exploitable through DVFS (Dynamic Voltage and Frequency Scaling)," concluded Chery.

As important as its success in manufacturing, ST has found porting Libraries and Physical IPs from 28nm Bulk CMOS to 28nm FD-SOI to be straightforward, and the process of designing digital SoCs with conventional CAD tools and methods in FD-SOI to be identical to Bulk, due to the absence of MOS-history-effect. FD-SOI enables production of highly energy-efficient devices, with the dynamic body-bias allowing instant switch to high-performance mode when needed and return to a very-low-leakage state for the rest of the time -- all in a totally transparent fashion for the Application Software, Operating System, and the Cache Systems. Finally, FD-SOI can operate at significant performance at low voltage with superior energy efficiency versus Bulk CMOS.

Source: http://www.st.com/

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.