SEMATECH Select Veeco for $2.4m Extreme Ultraviolet Lithography Project

SEMATECH, the world's leading nanoelectronics consortium, and the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany, home to the New York State Center of Excellence in Nanoelectronics and Nanotechnology ("CENN"), announced today that International SEMATECH North ("ISMTN") has selected Woodbury, NY-based Veeco Instruments Inc. for an additional $2.4 million project that will provide critical research and development necessary to enable extreme ultraviolet ("EUV") lithography for advanced manufacturing.

Veeco, a leading provider of metrology and process equipment solutions for the nanoelectronics industry, will receive the funding from SEMATECH to further develop its Ion Beam Deposition Tool, which is part of ISMTN's Mask Blank Development Center ("MBDC"), located at the CNSE CENN. Since International SEMATECH North's inception in 2002, Veeco has received nearly $19 million in funding for Ion Beam products and R&D programs.

Ion Beam Deposition tools are used in the fabrication of mask blanks - the base material for the stencil-like photomasks that are used to describe patterns on nanoelectronics wafers - and is essential for the deposition of ultra-thin layers of materials that are demanded in the EUV manufacturing process. Veeco's Ion Beam Deposition products lead the industry in high film quality, featuring extremely low particulate deposition and precise control of optical properties for single or multi-layer processes. Both are critical for producing advanced EUV photomasks.

Since its location at the CNSE CENN site in 2002, ISMTN has generated a direct economic impact of $320 million and created over 1,650 high-tech jobs in New York, both on-site and through a network of more than 330 partner, supplier and collaborative companies spread across New York State - 228 of which (more than two-thirds) are located outside the Capital Region, including 36 in New York City, 39 in the Finger Lakes region, 27 in the Niagara Frontier, and 43 on Long Island.

SEMATECH President and CEO Michael R. Polcari said, "As SEMATECH pushes aggressively to ready extreme ultraviolet lithography for advanced manufacturing, our ability to work with the statewide infrastructure of nanoelectronics-focused, world-class companies throughout New York is critical to our success. This latest project with Veeco, in concert with the hundreds of New York companies we are working with, is serving to accelerate the research and development that is vital both to the future of EUV lithography and the global nanoelectronics industry."

CNSE Vice President and Chief Administrative Officer Dr. Alain E. Kaloyeros said, "As a key anchor tenant of the New York State Center of Excellence in Nanoelectronics and Nanotechnology and the UAlbany NanoCollege, SEMATECH has worked hand in hand with the NanoCollege to nurture and build a statewide network of hundreds of New York companies to advance cutting-edge, high-tech research, development, and commercialization initiatives. In the process, the SEMATECH-CNSE partnership is building a nanotech-savvy culture and network of highly trained people and competitive companies that will play a critical role in attracting future high-tech jobs, investment and economic growth throughout New York State."

Robert P. Oates, Executive Vice President, Veeco Process Equipment, commented, "Veeco's NEXUS(R) LDD Ion Beam Deposition System continues to demonstrate the ability to provide not just low defect density deposition, but also the precise control required for critical work such as EUV photomask deposition. We are pleased to continue working on this important research with SEMATECH through its International SEMATECH North program at the New York State Center of Excellence in Nanoelectronics and Nanotechnology at Albany's College of Nanoscale Science and Engineering. We are also grateful to Governor Spitzer and the State of New York for the significant investments in university research and high technology commercialization."

Since 2002, ISMTN has also provided approximately $10 million to a number of universities in New York to fund research programs with high potential for significant outcomes in knowledge creation and dissemination, and to produce Ph.D. and M.S.-level scientists and researchers to staff the expanding nanoelectronics cluster in New York.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    SEMATECH. (2019, March 19). SEMATECH Select Veeco for $2.4m Extreme Ultraviolet Lithography Project. AZoNano. Retrieved on April 18, 2024 from https://www.azonano.com/news.aspx?newsID=3995.

  • MLA

    SEMATECH. "SEMATECH Select Veeco for $2.4m Extreme Ultraviolet Lithography Project". AZoNano. 18 April 2024. <https://www.azonano.com/news.aspx?newsID=3995>.

  • Chicago

    SEMATECH. "SEMATECH Select Veeco for $2.4m Extreme Ultraviolet Lithography Project". AZoNano. https://www.azonano.com/news.aspx?newsID=3995. (accessed April 18, 2024).

  • Harvard

    SEMATECH. 2019. SEMATECH Select Veeco for $2.4m Extreme Ultraviolet Lithography Project. AZoNano, viewed 18 April 2024, https://www.azonano.com/news.aspx?newsID=3995.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.