Posted in | News | Nanoelectronics

Samsung Foundry Develops First 32-nm SoC Design with Synopsys' Galaxy Platform

Synopsys, Inc. (Nasdaq: SNPS), a world leader in software and IP for semiconductor design, verification and manufacturing, today announced that Samsung Electronics' Foundry business (Samsung Foundry) has successfully taped out its first 32-nanometer (nm) system-on-chip (SoC) design using Synopsys' Galaxy™ Implementation Platform.

Samsung Foundry selected the Galaxy Implementation Platform as one of its implementation solutions for its mobile application processor because the platform's seamless integration enabled them to meet timing while minimizing power consumption and adhering to the tighter design and manufacturing constraints imposed by a 32nm process. In addition, significant productivity benefits were achieved using In-Design Physical Verification. Staying within the cohesive design environment of the Galaxy platform saved time and enabled Samsung Foundry to tape out on schedule.

"Synopsys enabled us to successfully tape out at 32-nanometers on schedule and achieve first-pass silicon success," said Dr. KM Choi, vice president, Design Technology Team, System LSI, Samsung Electronics. "Using the Galaxy Implementation Platform allowed us to develop a scalable flow capable of fully addressing our design challenges. The effective integration of IC Compiler and IC Validator avoided many time-consuming iterations between physical implementation and verification. We have decided to deploy In-Design Physical Verification with IC Validator for all our future IC Compiler-based 32- and 45-nanometer designs."

In-Design technology provides a productivity boost over traditional flows by enabling physical verification during the physical design. The traditional approach of first implementing then verifying the design leads to many iterations between physical verification and implementation, which can result in significant schedule delays. Synopsys' integration of IC Validator within IC Compiler allowed Samsung Foundry to optimize metal fills that were timing-aware and of signoff quality. The new approach saved time by avoiding unnecessary data transfers and eliminating costly iterations while producing a clean, error-free layout.

Samsung Foundry deployed the Synopsys DC Ultra™ synthesis solution with topographical technology and the DesignWare® Library to accurately predict performance of the design during synthesis. The tight integration within the Galaxy platform reduced iterations between synthesis and layout. The IC Compiler MCMM capability was employed by Samsung Foundry to optimize across several scenarios while the Zroute technology within IC Compiler was used to generate DRC clean wires. Samsung Foundry used the PrimeTime® SI timing analysis solution together with accurate extraction from StarRC™ to analyze implications of on-chip variation and reduced wire spacing.

"The Galaxy Platform consistently demonstrates the ability to handle the most challenging designs," said Antun Domic, senior vice president and general manager of Synopsys' Implementation Group. "Synopsys leads the effort to enable semiconductor manufacturers to achieve success in production designs at each successive technology node, as shown by the results of our close interaction with Samsung Foundry. Our large investment in R&D and collaborative approach are enabling our customers to achieve first-pass silicon success in such advanced nodes as 32-nanometers. We look forward to continue our collaboration with Samsung Foundry to develop next-generation flows."

Galaxy Implementation Platform

The Galaxy Implementation Platform is a comprehensive solution for cell-based and custom IC implementation. Galaxy accepts design intent in industry standard formats and generates a production-ready IC design in GDSII format. Galaxy RTL and physical implementation concurrently balance design constraints by performing intelligent tradeoffs between speed, area, power, test and manufacturability. Galaxy signoff engines accurately model complex physical interactions to ensure signal and power integrity. Coherent algorithms for parasitic extraction and timing produce correlated results.

Source: http://www.synopsys.com/

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Synopsys, Inc.. (2019, March 19). Samsung Foundry Develops First 32-nm SoC Design with Synopsys' Galaxy Platform. AZoNano. Retrieved on April 25, 2024 from https://www.azonano.com/news.aspx?newsID=17988.

  • MLA

    Synopsys, Inc.. "Samsung Foundry Develops First 32-nm SoC Design with Synopsys' Galaxy Platform". AZoNano. 25 April 2024. <https://www.azonano.com/news.aspx?newsID=17988>.

  • Chicago

    Synopsys, Inc.. "Samsung Foundry Develops First 32-nm SoC Design with Synopsys' Galaxy Platform". AZoNano. https://www.azonano.com/news.aspx?newsID=17988. (accessed April 25, 2024).

  • Harvard

    Synopsys, Inc.. 2019. Samsung Foundry Develops First 32-nm SoC Design with Synopsys' Galaxy Platform. AZoNano, viewed 25 April 2024, https://www.azonano.com/news.aspx?newsID=17988.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.