Synopsys and Intel Announce Broad SoC Design Enablement for 14-nm Tri-Gate Process Technology

Synopsys, Inc., a global leader providing software, IP and services used to accelerate innovation in chips and electronic systems, and Intel Corporation, a world leader in computing innovation, today announced broad SoC design enablement for Intel's 14-nm Tri-Gate process technology for use by customers of Intel Custom Foundry.

The Intel Custom Foundry 14-nm design platform supports Synopsys' industry-leading Galaxy™ Design Platform tools and RTL-to-GDSII methodology, high-performance DesignWare® Memory Compiler intellectual property (IP), and advanced interface IP. Extending the companies' production-proven design enablement for Intel's 22-nm foundry process design platform, the design tools and IP are now ready for Intel's 14-nm foundry process technology.

Eye Diagram for Synopsys DesignWare DDR3 PHY in Intel Custom Foundry 22-nm Tri-Gate silicon running up to 2133Mbps (PRNewsFoto/Synopsys, Inc.)

Intel's Tri-Gate technology is a proprietary 3D transistor technology with the gate surrounding the channel on all three sides of the transistor. It provides designers with dramatic performance, power and area benefits for their products vs. previous generations of transistors. Intel's collaboration with Synopsys on 14-nm and 22-nm on the Intel Custom Foundry design platform allows designers to take advantage of Intel's Tri-Gate technologies for cloud infrastructure and mobile applications.

The comprehensive suite of Galaxy Design Platform tools enabling the Intel Custom Foundry design platform include: Design Compiler® and IC Compiler™ synthesis, place and route, PrimeTime® static timing, IC Validator physical verification, HSPICE® and CustomSim™ circuit simulation, StarRC™ extraction and Galaxy Custom Designer® layout. The Galaxy Design Platform provides a holistic solution in tightly integrated tool chains, enabling a seamless flow. Intel and Synopsys collaborated closely in developing this enablement to ensure that the tools meet the challenging Tri-Gate requirements and model the complexities involved. This includes HSPICE supporting new device and statistical modeling; enhanced StarRC extraction modeling; and support for complex new routing rules, along with enhanced variation-aware post-route optimization, in IC Compiler for these advanced nodes.

Synopsys and Intel have worked together at 22-nm to deliver silicon-proven advanced IP, including DesignWare Memory Compilers and DDR3/2 PHYs, optimized for Intel's unique Tri-Gate process. These were the industry's first commercially available IP on Tri-Gate process technology. The most recent collaboration expands to Intel Custom Foundry's 14-nm Tri-Gate technology by providing tuned DesignWare Memory Compilers that offer very high performance while still keeping a low power profile.

"The combination of Intel's 14-nm Tri-Gate process technology and Synopsys tools, memory and interface IP enables designers to create industry-leading SoCs for their target markets," said Ali Farhang, vice president, Design and Enablement Services, Intel Custom Foundry. "By building on our successful collaboration on Intel's 22-nm design platform, we have been able to seamlessly extend the solution to our 14-nm process technology."

"Early experience and proven success with Tri-Gate technology have allowed Synopsys to deliver tools and IP that enable designers to take full advantage of the capabilities of this advanced technology," said Antun Domic, executive vice president, Design Group at Synopsys. "Intel Custom Foundry customers can now benefit from our collaboration with Intel to deliver comprehensive tool and IP design enablement at both 22-nm and 14-nm technologies."

To learn more about Synopsys design tool and IP solutions, please visit Synopsys at the Design Automation Conference in San Francisco, CA (booth #1133) or www.synopsys.com.

Availability

Support for Synopsys Galaxy Design Platform is available today for Intel Custom Foundry 22-nm and 14-nm process technologies. DesignWare Memory Compilers and DDR3/2 PHYs are also available today. For more information about Intel 22-nm and

14-nm Custom Foundry process technology and design enablement, contact Chuck Mulloy ([email protected]).

Source: http://www.synopsys.com/

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Synopsys, Inc.. (2019, February 11). Synopsys and Intel Announce Broad SoC Design Enablement for 14-nm Tri-Gate Process Technology. AZoNano. Retrieved on April 25, 2024 from https://www.azonano.com/news.aspx?newsID=30300.

  • MLA

    Synopsys, Inc.. "Synopsys and Intel Announce Broad SoC Design Enablement for 14-nm Tri-Gate Process Technology". AZoNano. 25 April 2024. <https://www.azonano.com/news.aspx?newsID=30300>.

  • Chicago

    Synopsys, Inc.. "Synopsys and Intel Announce Broad SoC Design Enablement for 14-nm Tri-Gate Process Technology". AZoNano. https://www.azonano.com/news.aspx?newsID=30300. (accessed April 25, 2024).

  • Harvard

    Synopsys, Inc.. 2019. Synopsys and Intel Announce Broad SoC Design Enablement for 14-nm Tri-Gate Process Technology. AZoNano, viewed 25 April 2024, https://www.azonano.com/news.aspx?newsID=30300.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.