Posted in | News | Nanoelectronics

Nordson MARCH Introduces FlexTRAK-WF Plasma Treatment System for Increased Wafer Processing

Nordson MARCH, a company involved in plasma processing technology, has launched a plasma treatment system named FlexTRAK-WF. This less-expensive, cassette-based plasma treatment system that is also automated is capable of handling five wafer sizes with minimal hardware changes.

FlexTRAK-WF Plasma Treatment System

An incorporated aligner and robot automate the processing of several different wafers and other flat surfaces. The FlexTRAK-WF plasma treatment system provides increased throughput of about 50 wafers in an hour and also ensures excellent uniformity of plasma for descum, etching, and ashing processes involved in wafer-based packaging and other applications.

FlexTRAK-WF has two different wafer load stations that enable continuous production and reduced idle time. It uses multi-size aligner and global cassette stage technologies that favor in wafer processing of varying sizes from 3 in up to 200 mm, resulting in increased utility of system. decreased hardware changes and user involvement. It also employs technologies such as integrated wafer recognition to identify and rectify potential problems that include double-stacking, cross-slotting, and wafer protrusion, hence ensuring reliability of the equipment.

James Getty, vice president of Nordson MARCH’s Business Development and Applications stated that plasma treatment technology helps to improve quality in several wafer-based packaging applications that are showing gradual growth. FlexTRAK-WF plasma system enables the plasma treatment to be automated by increasing the size and quantity of wafers processed during one cycle, thereby decreasing costs and increasing throughput.

Source: http://www.nordson.com

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Chai, Cameron. (2019, February 12). Nordson MARCH Introduces FlexTRAK-WF Plasma Treatment System for Increased Wafer Processing. AZoNano. Retrieved on April 20, 2024 from https://www.azonano.com/news.aspx?newsID=22930.

  • MLA

    Chai, Cameron. "Nordson MARCH Introduces FlexTRAK-WF Plasma Treatment System for Increased Wafer Processing". AZoNano. 20 April 2024. <https://www.azonano.com/news.aspx?newsID=22930>.

  • Chicago

    Chai, Cameron. "Nordson MARCH Introduces FlexTRAK-WF Plasma Treatment System for Increased Wafer Processing". AZoNano. https://www.azonano.com/news.aspx?newsID=22930. (accessed April 20, 2024).

  • Harvard

    Chai, Cameron. 2019. Nordson MARCH Introduces FlexTRAK-WF Plasma Treatment System for Increased Wafer Processing. AZoNano, viewed 20 April 2024, https://www.azonano.com/news.aspx?newsID=22930.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.