Editorial Feature

Should PFAS Use Be Restricted in the Semiconductor Sector?

PFAS have become integral to semiconductor manufacturing processes due to their unique properties like durability, corrosion resistance and thermal stability. However, concerns about PFAS persistence in the environment and their potential health risks have led to calls for restrictions on their use in the strategically vital semiconductor industry. This raises the critical question - should PFAS use be limited in the semiconductor sector?

Image Credit: SergeiShimanovich/Shutterstock.com

Per- and polyfluoroalkyl substances (PFAS) are synthetic fluorinated compounds with at least one fully fluorinated methyl or methylene carbon atom. Their high stability, attributed to a high C–F bonding strength (485 kJ/mol), and versatile surfactant properties arising from hydrophilic and hydrophobic functional groups have led to their extensive use for over 70 years in diverse applications, including electroplating, textiles, paints, leathers, and pesticides.

In recent years, PFAS have become integral to semiconductor fabrication processes. Their high durability, thermal stability, corrosion resistance, non-adhesiveness, and low dielectric constant allow for the extremely intricate processes required to manufacture advanced computing chips.

Benefits of PFAS in Semiconductor Manufacturing

PFAS play a vital role in semiconductor manufacturing, particularly in photolithography, a key stage in microchip production. In photolithography, PFAS-enhanced photoresist on a silicon wafer improves adhesion, durability, and resistance to harsh conditions during UV light exposure, safeguarding exposed areas for selective modification during etching or deposition.

Beyond photolithography, PFAS provide substantial advantages in semiconductor component production, including interconnects and packaging materials. Their use in creating low-k dielectrics reduces the capacitance between conductive lines, leading to faster processing speeds and reduced power consumption. PFAS also enhance thermal stability and moisture resistance in packaging materials, contributing to semiconductor devices' overall efficiency and reliability.

In the etching process, PFAS improve uniformity and process control, ensuring the reliable creation of intricate circuit patterns. Additionally, PFAS serve as inert lubricants in vacuum pumps, preventing corrosion in equipment like pipes, tubing, and containers used throughout the manufacturing process.

Overall, PFAS offer a unique combination of properties essential for precision, efficiency, scale, and performance, with no current alternatives matching these specific attributes.

Environmental and Health Concerns

Despite their crucial role in advanced chip production, PFAS pose environmental and health concerns due to their persistent nature.

PFAS break down very slowly under normal conditions due to the shielding effect of the multiple fluorine atoms attached to the carbon backbone. Their ability to traverse through soils raises concerns about contaminating drinking water sources. PFAS bioaccumulates in fish and wildlife, highlighting the potential for ecological and human health risks associated with prolonged exposure to these persistent compounds.

PFAS enter the human body through various sources and binds to proteins in the blood and tissues or accumulates in organs like the liver and kidneys. Slow elimination raises concerns supported by epidemiological studies associating elevated PFAS exposures with developmental issues in children, including low birth weight, thyroid disorders, decreased fertility, elevated cholesterol levels, risks of kidney and testicular cancers, and immunotoxicity.

While causative links are not fully established, the lifelong bioaccumulative nature of PFAS coupled with evidence of possible adverse effects has led many health and environmental agencies like the US EPA to recommend minimizing human and environmental exposure to PFAS as a preventive measure.

Existing Regulations and Policies

Efforts to curb exposure to harmful PFAS have been undertaken globally, with international bodies, individual countries, and local areas implementing measures to restrict manufacturing and reduce human exposure. However, the lack of a consistent regulatory structure and differing opinions on the appropriate level of regulation pose challenges.

In the United States, federal rules outlined in the EPA PFAS Strategic Roadmap include measures such as the Toxic Substances Control Act (TSCA) and Significant New Use Rule (SNUR), focusing on new chemicals, reporting requirements, and discharge permits. State-level regulations in the US vary, with some states implementing bans on unnecessary PFAS uses.

The Stockholm Convention addresses PFAS as Persistent Organic Pollutants, restricting certain types, while REACH in the European Union regulates chemical production and usage.

Over the past decade, the PFAS regulatory landscape has gradually shifted, with ongoing discussions on phasing out non-essential PFAS uses and the potential for a global ban proposed by the European Chemicals Agency.

Automatic Pick and Place machine quickly installs Components on Generic Circuit Board. Electronics and Circuit board Manufacturing. Bright Environment

Image Credit: IM Imagery/Shutterstock.com

The PFAS Debate: Perspectives from Semiconductor Industry, Environment, and Regulatory Bodies

Industrial Perspective

The semiconductor industry has strongly opposed motions for comprehensive PFAS limits encompassing manufacturing uses. They contend that the direct PFAS quantities used in and emitted from chip fabrication processes are extremely small, reducing ecological or health exposure risks.

Furthermore, SEMI, a global association for the semiconductor industry, claims that: "If all PFAS low volume exemptions (LVEs) substances were eliminated from commerce, this would result in a complete shutdown of all US domestic semiconductor manufacturing operations." This would disrupt digitalization and clean energy initiatives reliant on high-performance computing.

The sector promises responsible use by avoiding non-essential applications, improving abatement technologies and supporting the development of safe substitutes. For example, given the environmental concerns over using long-chain PFAS, the industry eliminated perfluorooctane sulfonic acid (PFOS) and is committed to eliminating perfluorooctanoic acid (PFOA) by 2025.

Simultaneously, the sector has worked for years to reduce fluorinated greenhouse gas emissions through various strategies involving process optimization, alternative gases, and substitute materials, each requiring extensive research and development efforts.

However, industry experts insist that near-blanket PFAS restrictions without exemptions will jeopardize innovation and productivity because alternatives cannot be qualified fast enough to replace many critical fabrication chemistries.

Environmental Perspective

Nonetheless, environmental groups argue that the extraordinary persistence and mobility of PFAS underscore the need for prudent reductions in their use across non-essential functions, including electronics manufacturing.

They believe that the small aggregate quantities from diffuse industrial sources like semiconductor factories collectively contribute to contamination and bioaccumulation risks, especially since the chemicals resist degradation after being released into water and air.

Prof. Tina Kold Jensen, from the University of Southern Denmark, underscored the urgent need for stricter EU regulations to eliminate PFAS from the environment at the 5th Annual Forum on Endocrine Disruptors, stating, "The data is clear –PFAS continues to hamper the health of our children, including their neurological function, fertility, and overall development. Stricter EU regulation is needed now to eradicate the presence of PFAS in our environment."

Environmentalists also contend that the industry must proactively research and invest in innovative, safer alternatives just as it rapidly innovates its products. Waiting for definitive epidemiological toxicity data before acting is untenable for persistent contaminants that remain indefinitely in the environment and humans.

Regulatory Perspective

Balancing the divergence in industry and environmental perspectives is challenging for policymakers and regulators. They recognize that advanced electronics like semiconductors are pivotal for digitalization but must be manufactured sustainably.

Most regulatory agencies acknowledge that hurriedly banning the entire PFAS family without assessment of alternatives or exemptions for critical uses may do more harm than good. A science-based transition is necessary for the industry to develop and integrate replacement chemistries feasibly.

However, regulators emphasize that manufacturers cannot use indispensability to evade their responsibility and must work diligently to replace non-critical PFAS applications with safer substitutes. Authorities underscore that supporting and expediting the shift to non-fluorinated alternatives wherever viable via collaborative innovation is imperative for the semiconductors sector.

Global Overview

The United States, China, South Korea, Taiwan and Japan account for over 80% of global semiconductor production. With increasing digitalization, rising demand is driving the expansion of semiconductor manufacturing hubs into Southeast Asia and Europe.

However, the regulation of PFAS in electronics fabrication varies considerably. Europe has taken the strictest stance with proposals to restrict the entire PFAS class. At the same time, the United States and Asian countries have adopted a more cautious approach so far, limiting PFOA and PFOS usage based on demonstrated toxicity but permitting most other PFAS.

Concluding Remarks

PFAS are invaluable for current semiconductor manufacturing, but their persistence necessitates minimizing non-critical uses. However, widescale restrictions without assessing alternatives risk disrupting innovation. The prudent approach is temporarily permitting essential PFAS applications while supporting the development of safer substitutes.

The semiconductor industry must also help expedite viable, greener alternatives. In addition, policymaking should balance digital progress and ecological stewardship.

To achieve a sustainable digital future, it is imperative to transition away from the indefinite reliance on indestructible chemicals in electronics manufacturing, implementing pragmatic solutions to phase out non-essential PFAS uses while fostering continuous innovation.

See More: Where Do Semiconductors Fit Into the Energy Crisis?

References and Further Reading

Brennan, N. M., Evans, A. T., Fritz, M. K., Peak, S. A., & von Holst, H. E. (2021). Trends in the regulation of per-and polyfluoroalkyl substances (PFAS): a scoping review. International journal of environmental research and public health, 18(20), 10900. https://doi.org/10.3390/ijerph182010900

ChemSec. (2023). Check your Tech: A guide to PFAS in electronics. [Online]. Available at: https://chemsec.org/app/uploads/2023/04/Check-your-Tech_230420.pdf

Demircan, E. (2022). Fluorinated chemicals are essential to semiconductor manufacturing and innovation. SEMI News. [Online]. Available at: https://semi.org/en/blogs/semi-news/fluorinated-chemicals-are-essential-to-semiconductor-manufacturing-and-innovation

European Semiconductor Industry Association. (2023). The European Semiconductor Industry and PFAS - Summary Paper. https://www.eusemiconductors.eu/sites/default/files/20230713_ESIASummaryPaper-PFAS.pdf

Fidra. (2023). PFAS Regulations. [Online]. Available at:  https://www.pfasfree.org.uk/regulations#1527173471809-b86dd61c-3d65

Fraile, D. (2022). EU legislators must avoid crippling clean tech with a blanket ban on PFAS (per- and polyfluoroalkyl substances). Energy Post. [Online]. Available at: https://energypost.eu/eu-legislators-must-avoid-crippling-clean-tech-with-a-blanket-ban-on-pfas-per-and-polyfluoroalkyl-substances/

Issacs, D. (2023). Technical papers highlight need to maintain essential uses of PFAS in semiconductor industry. [Online]. Available at: https://www.semiconductors.org/technical-papers-highlight-need-to-maintain-essential-uses-of-pfas-in-semiconductor-industry/

Kaminsky, A. (2023). The State of PFAS Regulations in the United States and Around the World. https://fiscalnote.com/blog/pfas-regulations-us-world

Pamela J. Lean. (2023). PFAS: The "New" Forever Chemicals. [Online]. Available at: https://edition.pagesuite-professional.co.uk/html5/reader/production/default.aspx?pubname=&edid=f2856496-3b7f-4113-8461-af5d282d8066

Patrick Temple-West. (2023). Chipmakers fight spread of US crackdowns on 'forever chemicals'. [Online]. Available at: https://www.ft.com/content/8352e84b-284c-4ebe-a7c1-5e2093566e0d

Rina. (2023). Potential PFAS restrictions – big impact on semiconductor machinery manufacturers. [Online]. Available at: https://www.complianceandrisks.com/blog/potential-pfas-restrictions-big-impact-on-semiconductor-machinery-manufacturers/

Rizzuto, P. (2022). Semiconductor makers call EPA chemicals proposal 'catastrophic.' [Online]. Available at: https://news.bloomberglaw.com/environment-and-energy/semiconductor-makers-call-epa-chemicals-proposal-catastrophic

SEMI. (2023). PFAS Explainer -The Semiconductor Industry Responds. [Online]. Available at: https://www.semi.org/en/ehs_PFAS/PFAS_in_Semiconductor_Mfg

SEMI Global Advocacy Office. (2023). Updates to New Chemicals Regulations Under the Toxic Substances Control Act; Docket No. EPA-HQ-OPPT-2022-0902. [Online]. Available at: https://www.regulations.gov/comment/EPA-HQ-OPPT-2022-0902-0049

Semiconductor PFAS Consortium. (2023). Background on Semiconductor Manufacturing and PFAS. [Online]. Available at: https://www.semiconductors.org/wp-content/uploads/2023/05/FINAL-PFAS-Consortium-Background-Paper.pdf

Wang, Q., Ruan, Y., Yuen, C. N., Lin, H., Yeung, L. W., Leung, K. M., & Lam, P. K. (2023). Tracing per-and polyfluoroalkyl substances (PFASs) in the aquatic environment: Target analysis and beyond. TrAC Trends in Analytical Chemistry, 117351. https://doi.org/10.1016/j.trac.2023.117351

Disclaimer: The views expressed here are those of the author expressed in their private capacity and do not necessarily represent the views of AZoM.com Limited T/A AZoNetwork the owner and operator of this website. This disclaimer forms part of the Terms and conditions of use of this website.

Owais Ali

Written by

Owais Ali

NEBOSH certified Mechanical Engineer with 3 years of experience as a technical writer and editor. Owais is interested in occupational health and safety, computer hardware, industrial and mobile robotics. During his academic career, Owais worked on several research projects regarding mobile robots, notably the Autonomous Fire Fighting Mobile Robot. The designed mobile robot could navigate, detect and extinguish fire autonomously. Arduino Uno was used as the microcontroller to control the flame sensors' input and output of the flame extinguisher. Apart from his professional life, Owais is an avid book reader and a huge computer technology enthusiast and likes to keep himself updated regarding developments in the computer industry.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Ali, Owais. (2023, November 23). Should PFAS Use Be Restricted in the Semiconductor Sector?. AZoNano. Retrieved on April 27, 2024 from https://www.azonano.com/article.aspx?ArticleID=6639.

  • MLA

    Ali, Owais. "Should PFAS Use Be Restricted in the Semiconductor Sector?". AZoNano. 27 April 2024. <https://www.azonano.com/article.aspx?ArticleID=6639>.

  • Chicago

    Ali, Owais. "Should PFAS Use Be Restricted in the Semiconductor Sector?". AZoNano. https://www.azonano.com/article.aspx?ArticleID=6639. (accessed April 27, 2024).

  • Harvard

    Ali, Owais. 2023. Should PFAS Use Be Restricted in the Semiconductor Sector?. AZoNano, viewed 27 April 2024, https://www.azonano.com/article.aspx?ArticleID=6639.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.