Posted in | News | Nanofabrication

TSMC Certifies Cadence Encounter and Virtuoso Design Platforms for 20 nm Design

Semiconductor design and verification solutions provider, Cadence Design Systems has achieved TSMC Phase I 20 nm certification for its Encounter digital and Virtuoso analog design platforms. The certification covers the tools for 20 nm design and implementation and SPICE models for verification and signoff.

As part of the 20 nm design rule, the company’s Encounter digital flow includes novel pattern placement, routing, clocking and optimization of circuit board space. The certification for the Virtuoso analog platform includes its SKILL parameterized cell (Pcell) abutment for complex device design and integrated Physical Verification System (PVS) and Design Rule checking (DRC) for in-design loop detection. The certification for design signoff covers the Encounter Power System for IR and electromigration and Cadence QRC Extraction for physical calculations are included apart from the PVS and DRC. Cadence is currently attempting to achieve TSMC certification for its Encounter Timing System.

Dave Desharnais, Director of Product Marketing of the Silicon Realization Group at Cadence, stated the achievement of the certification was the result of collaborative efforts between Cadence and TSMC and it would facilitate the transition for the semiconductor companies associated with Cadence to 20 nm node.

The company has its headquarters at San Jose, California and has research centers and sales offices in various countries. It provides both software and hardware solutions for design and verification to a host of industries such as semiconductors, networking, telecommunications, computer systems and consumer electronics.

Source: http://www.cadence.com

Will Soutter

Written by

Will Soutter

Will has a B.Sc. in Chemistry from the University of Durham, and a M.Sc. in Green Chemistry from the University of York. Naturally, Will is our resident Chemistry expert but, a love of science and the internet makes Will the all-rounder of the team. In his spare time Will likes to play the drums, cook and brew cider.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Soutter, Will. (2019, February 12). TSMC Certifies Cadence Encounter and Virtuoso Design Platforms for 20 nm Design. AZoNano. Retrieved on April 27, 2024 from https://www.azonano.com/news.aspx?newsID=24993.

  • MLA

    Soutter, Will. "TSMC Certifies Cadence Encounter and Virtuoso Design Platforms for 20 nm Design". AZoNano. 27 April 2024. <https://www.azonano.com/news.aspx?newsID=24993>.

  • Chicago

    Soutter, Will. "TSMC Certifies Cadence Encounter and Virtuoso Design Platforms for 20 nm Design". AZoNano. https://www.azonano.com/news.aspx?newsID=24993. (accessed April 27, 2024).

  • Harvard

    Soutter, Will. 2019. TSMC Certifies Cadence Encounter and Virtuoso Design Platforms for 20 nm Design. AZoNano, viewed 27 April 2024, https://www.azonano.com/news.aspx?newsID=24993.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.