eBeam Initiative Completes Second Annual Survey on Photomask Technology

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of the second annual eBeam Initiative survey. 28 member companies from across the photomask supply chain participated in the survey.

Participants provided their opinions on a variety of topics critical to advanced photomask production, including the implementation of multibeam mask writing, mask write times at the 10-nm node, adoption of eight-inch and larger photomasks, the future of EUV lithography, and the adoption of general purpose graphics processing unit (GPGPU) solutions for simulation-intensive electronic design automation (EDA) applications. The complete results of the survey will be presented on September 10 during the eBeam Initiative's annual members meeting at the SPIE Photomask Technology Conference in Monterey, Calif.

Select Highlights from eBeam Initiative Survey
Among the highlights of the survey, an overwhelming majority (86 percent) of respondents predict that multibeam mask writers will be in production by 2016 to address the critical problem of increasing mask write times—a 12 percent increase compared to last year's survey. Additionally, a strong majority (76 percent) predict mask critical dimension uniformity (CDU) will be an issue in 2015, with 33 percent of respondents believing mask data preparation (MDP) will need to take mask CDU into account as early as next year.

75 percent of respondents also believe that by 2020 some simulation-intensive software applications in EDA will require GPGPUs. Hitachi High-Technologies, which joined the eBeam Initiative as a new member earlier this month, will present results using GPGPU-based computational methods to speed verification of mask layouts that use overlapping eBeam shots at the eBeam Initiative's members meeting tomorrow.

"I want to thank the many eBeam Initiative members that participated in our second annual survey. The feedback that we received from luminaries on topics critical to the semiconductor and photomask industry has been helpful in confirming key areas to align our efforts on in guiding the industry ecosystem forward in supporting the introduction of new eBeam technologies," stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. "At the same time, I would like to welcome Hitachi High-Technologies as a new member of the eBeam Initiative. As a leader in semiconductor metrology and inspection, Hitachi High-Technologies Group has the equipment, applications and measurement techniques needed to meet critical measurement challenges for sub-28-nm processes, including measurement of wafer CDU. They also bring invaluable experience in leveraging GPGPU-based technology to address the ever-growing challenge of mask write times."

The complete results of the eBeam Initiative survey will be available on Wednesday, September 11 for download at www.ebeam.org

About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, Hitachi High-Technologies, HOYA Corporation, IMS CHIPS, IMS Nanofabrication AG, JEOL, KLA-Tencor, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, John Chen from NVIDIA, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, STMicroelectronics, Synopsys, tau-Metrix, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Hugh Durdan from Xilinx. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit ebeam.org.

Source: http://www.ebeam.org/

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.